Vivado时序 - 如何验证我的时序异常约束是否正确应用?
描述
我正在为某些路径添加时序异常约束。如何验证这些约束是否正确应用并影响时序分析?
解
您可以通过以下方式验证Synthesized或Implemented设计中的时序异常约束: 解决方案#1:在Tcl控制台中运行report_exceptions命令。
解决方案#2:在Tcl控制台中运行“report_timing -from -to”命令直接查询要检查的路径。
您可以通过检查返回的报告中的“Slack”或“Timing Exception”来验证定时异常是否生效。
以下是一个例子。
您可以通过检查返回的报告中的“Slack”或“Timing Exception”来验证定时异常是否生效。
以下是一个例子。
约束 :
set_false_path -from [get_pins iSpiSerDes / regSpiCsB_fall_reg / C] -to [get_ports outSpiCsB]
解决方案#1 :
命令 :
report_exceptions
退回报告 :
位置从直到设置保持状态---------- ---------------------------------------- ----------------- -------------- ------------------- ---------- -------------- -------------- ------------ -----21 [get_pins iSpiSerDes / regSpiCsB_fall_reg / C] * [get_ports outSpiCsB] false false
解决方案#2 :
命令 :
report_timing -from [get_pins iSpiSerDes / regSpiCsB_fall_reg / C] -to [get_ports outSpiCsB]
退回报告 :
松弛:inf来源:iSpiSerDes / regSpiCsB_fall_reg / C.(下降沿触发的单元FDRE由clk_out1_clk_wiz_0 {rise@0.000ns fall@7.500ns period = 15.001ns}计时})目的地:outSpiCsB(输出端口由cclk {ris@0.000ns fall@7.500ns period = 15.001ns}提供时钟)......时钟路径偏差:2.067ns(DCD - SCD + CPR)......时钟不确定度:0.190ns((TSJ ^ 2 + DJ ^ 2)^ 1/2)/ 2 + PE......时间异常:虚假路径......
“inf”Slack表示错误的路径松弛。
“Timing Exception”显示此路径的异常类型。
“Timing Exception”显示此路径的异常类型。
添加评论